How to perform fixed point representation in vhdl without introducing any package -


i have 32bit fractional number 4 integer bits , 28 fractional bits , interested in implementing in vhdl without use of package such ieee_proposed.fixed_pkg.all . there other method of representing 32 bit number using standard packages such numeric_std.all. appreciated


Comments

Popular posts from this blog

Spring Boot + JPA + Hibernate: Unable to locate persister -

go - Golang: panic: runtime error: invalid memory address or nil pointer dereference using bufio.Scanner -

c - double free or corruption (fasttop) -